1
0
Fork 0

Initial PCB layout

main
Ambrose Chua 2020-12-14 00:17:44 +08:00
parent e333b8d8b0
commit 7c08c032c6
3 changed files with 955 additions and 36 deletions

View File

@ -2,11 +2,11 @@
(general
(thickness 1.6)
(drawings 0)
(drawings 24)
(tracks 0)
(zones 0)
(modules 0)
(nets 1)
(modules 13)
(nets 25)
)
(page A4)
@ -34,22 +34,22 @@
)
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(last_trace_width 0.1524)
(trace_clearance 0.16)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(trace_min 0.1524)
(via_size 0.381)
(via_drill 0.254)
(via_min_size 0.381)
(via_min_drill 0.254)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(edge_width 0.05)
(segment_width 0.2)
(segment_width 0.1524)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.12)
@ -91,14 +91,717 @@
)
(net 0 "")
(net 1 "Net-(C1-Pad2)")
(net 2 VBUS)
(net 3 "Net-(J1-PadB8)")
(net 4 "Net-(J1-PadB2)")
(net 5 "Net-(J1-PadB6)")
(net 6 GND)
(net 7 "Net-(J1-PadB11)")
(net 8 "Net-(J1-PadB7)")
(net 9 "Net-(J1-PadB5)")
(net 10 "Net-(J1-PadB10)")
(net 11 "Net-(J1-PadB3)")
(net 12 "Net-(J1-PadA10)")
(net 13 "Net-(J1-PadA11)")
(net 14 "Net-(J1-PadA7)")
(net 15 "Net-(J1-PadA8)")
(net 16 "Net-(J1-PadA5)")
(net 17 "Net-(J1-PadA6)")
(net 18 "Net-(J1-PadA3)")
(net 19 "Net-(J1-PadA2)")
(net 20 SHIELD)
(net 21 /VBUS_SINK)
(net 22 "Net-(J2-PadA10)")
(net 23 "Net-(R1-Pad2)")
(net 24 "Net-(R2-Pad2)")
(net_class Default "This is the default net class."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.8)
(via_drill 0.4)
(clearance 0.16)
(trace_width 0.1524)
(via_dia 0.381)
(via_drill 0.254)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net "Net-(C1-Pad2)")
(add_net "Net-(J1-PadA10)")
(add_net "Net-(J1-PadA11)")
(add_net "Net-(J1-PadA2)")
(add_net "Net-(J1-PadA3)")
(add_net "Net-(J1-PadA5)")
(add_net "Net-(J1-PadA6)")
(add_net "Net-(J1-PadA7)")
(add_net "Net-(J1-PadA8)")
(add_net "Net-(J1-PadB10)")
(add_net "Net-(J1-PadB11)")
(add_net "Net-(J1-PadB2)")
(add_net "Net-(J1-PadB3)")
(add_net "Net-(J1-PadB5)")
(add_net "Net-(J1-PadB6)")
(add_net "Net-(J1-PadB7)")
(add_net "Net-(J1-PadB8)")
(add_net "Net-(J2-PadA10)")
(add_net "Net-(R1-Pad2)")
(add_net "Net-(R2-Pad2)")
)
(net_class Power ""
(clearance 0.21)
(trace_width 0.2032)
(via_dia 0.508)
(via_drill 0.3302)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /VBUS_SINK)
(add_net GND)
(add_net SHIELD)
(add_net VBUS)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5FD6C9DF)
(at 145.034 101.6)
(descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x02 2.54mm single row")
(path /5FE36070)
(fp_text reference SW3 (at 0 -2.33) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value SW_Push (at 0 4.87) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 1.27 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 4.35) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 4.35) (end 1.8 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 -1.8) (end -1.8 4.35) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 3.81) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 "Net-(C1-Pad2)"))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 24 "Net-(R2-Pad2)"))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Button_Switch_SMD:SW_Push_1P1T_NO_CK_KSC7xxJ (layer B.Cu) (tedit 5C63FE2A) (tstamp 5FD6C70F)
(at 152.4 102.87)
(descr "CK components KSC7 tactile switch https://www.ckswitches.com/media/1973/ksc7.pdf")
(tags "tactile switch ksc7")
(path /5F186D85)
(attr smd)
(fp_text reference SW2 (at 0 -4.24) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value SW_Push (at 0 4.23) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -3.21 1.2) (end -3.21 -1.2) (layer B.SilkS) (width 0.12))
(fp_line (start -3.21 3.21) (end -3.21 2.8) (layer B.SilkS) (width 0.12))
(fp_line (start 3.21 3.21) (end -3.21 3.21) (layer B.SilkS) (width 0.12))
(fp_line (start 3.21 2.8) (end 3.21 3.21) (layer B.SilkS) (width 0.12))
(fp_line (start 3.21 -1.2) (end 3.21 1.2) (layer B.SilkS) (width 0.12))
(fp_line (start 3.21 -3.21) (end 3.21 -2.93) (layer B.SilkS) (width 0.12))
(fp_line (start -3.21 -3.21) (end 3.21 -3.21) (layer B.SilkS) (width 0.12))
(fp_line (start -3.21 -2.8) (end -3.21 -3.21) (layer B.SilkS) (width 0.12))
(fp_circle (center 0 0) (end 1.5 0) (layer B.Fab) (width 0.1))
(fp_line (start -4.55 -3.35) (end -4.55 3.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.55 -3.35) (end -4.55 -3.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.55 3.35) (end 4.55 -3.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.55 3.35) (end 4.55 3.35) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -3.1 -3.1) (end -3.1 3.1) (layer B.Fab) (width 0.1))
(fp_line (start 3.1 -3.1) (end -3.1 -3.1) (layer B.Fab) (width 0.1))
(fp_line (start 3.1 3.1) (end 3.1 -3.1) (layer B.Fab) (width 0.1))
(fp_line (start -3.1 3.1) (end 3.1 3.1) (layer B.Fab) (width 0.1))
(pad 2 smd rect (at 2.9 -2) (size 2.8 1) (layers B.Cu B.Paste B.Mask)
(net 1 "Net-(C1-Pad2)"))
(pad 2 smd rect (at -2.9 -2) (size 2.8 1) (layers B.Cu B.Paste B.Mask)
(net 1 "Net-(C1-Pad2)"))
(pad 1 smd rect (at 2.9 2) (size 2.8 1) (layers B.Cu B.Paste B.Mask)
(net 24 "Net-(R2-Pad2)"))
(pad 1 smd rect (at -2.9 2) (size 2.8 1) (layers B.Cu B.Paste B.Mask)
(net 24 "Net-(R2-Pad2)"))
(model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_push_1P1T_NO_CK_KSC7xxJxxx.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Button_Switch_SMD-Custom:SW_SPDT_MSS3-V-T_R (layer F.Cu) (tedit 5FCE0B48) (tstamp 5FD6C83D)
(at 152.412 102.334)
(descr "Slide Switch, SPDT, Surface Mount. http://www.farnell.com/datasheets/1599440.pdf")
(path /5FD401C4)
(attr smd)
(fp_text reference SW1 (at -0.012 0.917) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value EN_USBA (at 0 -3.81) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -3 1.5) (end 3 1.5) (layer F.SilkS) (width 0.12))
(fp_line (start 3.4 -0.5) (end 3.4 0.5) (layer F.SilkS) (width 0.12))
(fp_line (start -3.4 -0.5) (end -3.4 0.5) (layer F.SilkS) (width 0.12))
(fp_line (start -2.7 -1.4) (end -3 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 0.3 -1.4) (end -1.8 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 1.4 -1.4) (end 1.5 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 1.3 -1.4) (end 1.4 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 1.2 -1.4) (end 1.3 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 -1.4) (end 1.2 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 2.7 -1.4) (end 3 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 1.5 1.6) (end 4.3 1.6) (layer F.CrtYd) (width 0.12))
(fp_line (start 1.5 3.2) (end 1.5 1.6) (layer F.CrtYd) (width 0.12))
(fp_line (start -1.5 3.2) (end 1.5 3.2) (layer F.CrtYd) (width 0.12))
(fp_line (start -1.5 1.6) (end -1.5 3.2) (layer F.CrtYd) (width 0.12))
(fp_line (start -4.3 1.6) (end -1.5 1.6) (layer F.CrtYd) (width 0.12))
(fp_line (start 4.3 1.6) (end 4.3 -2.6) (layer F.CrtYd) (width 0.12))
(fp_line (start -4.3 -2.6) (end -4.3 1.6) (layer F.CrtYd) (width 0.12))
(fp_line (start 4.3 -2.6) (end -4.3 -2.6) (layer F.CrtYd) (width 0.12))
(pad "" smd rect (at -3.65 1.05) (size 1 0.8) (layers F.Cu F.Paste F.Mask))
(pad "" smd rect (at -3.65 -1.05) (size 1 0.8) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -2.25 -1.75) (size 0.7 1.5) (layers F.Cu F.Paste F.Mask)
(net 16 "Net-(J1-PadA5)"))
(pad 2 smd rect (at 0.75 -1.75) (size 0.7 1.5) (layers F.Cu F.Paste F.Mask)
(net 23 "Net-(R1-Pad2)"))
(pad 3 smd rect (at 2.25 -1.75) (size 0.7 1.5) (layers F.Cu F.Paste F.Mask))
(pad "" np_thru_hole circle (at -1.5 0) (size 0.9 0.9) (drill 0.9) (layers *.Cu *.Mask))
(pad "" np_thru_hole circle (at 1.5 0) (size 0.9 0.9) (drill 0.9) (layers *.Cu *.Mask))
(pad "" smd rect (at 3.65 1.05) (size 1 0.8) (layers F.Cu F.Paste F.Mask))
(pad "" smd rect (at 3.65 -1.05) (size 1 0.8) (layers F.Cu F.Paste F.Mask))
)
(module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5FD6C7BD)
(at 160.02 101.205 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags "resistor handsolder")
(path /5F197CA2)
(attr smd)
(fp_text reference R4 (at 0 -1.016 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value 1.5M (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 "Net-(C1-Pad2)"))
(pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 VBUS))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5FD6C787)
(at 158.115 103.11 270)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags "resistor handsolder")
(path /5F1CDC44)
(attr smd)
(fp_text reference R3 (at 0.014 -1.016 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value 1M (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 6 GND))
(pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 "Net-(C1-Pad2)"))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5FD6C754)
(at 158.115 99.455 90)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags "resistor handsolder")
(path /5F199070)
(attr smd)
(fp_text reference R2 (at 0 1.016 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value 40k (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 24 "Net-(R2-Pad2)"))
(pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 VBUS))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5FD6C64C)
(at 152.287 98.552)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags "resistor handsolder")
(path /5FD50642)
(attr smd)
(fp_text reference R1 (at -0.268 1.016) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value 5.1k (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 23 "Net-(R1-Pad2)"))
(pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 6 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Package_TO_SOT_SMD:SOT-23 (layer F.Cu) (tedit 5A02FF57) (tstamp 5FD6C689)
(at 160.925 105.095 180)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /5F1BFDC6)
(attr smd)
(fp_text reference Q1 (at 0 0.066 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value SI2393DS (at 0 2.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
(fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
(fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
(fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
(pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 21 /VBUS_SINK))
(pad 2 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 2 VBUS))
(pad 1 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
(net 1 "Net-(C1-Pad2)"))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_USB-Custom:USB_A_Receptacle_Amphenol_10117835 (layer F.Cu) (tedit 5FD6207C) (tstamp 5FD6C6C7)
(at 152.4 89.535 180)
(path /5FD908A9)
(fp_text reference J4 (at 0 -3.556) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value USB3_A_Receptacle (at 0 -9.525) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -8.2 8.6) (end -8.2 -8.6) (layer F.CrtYd) (width 0.15))
(fp_line (start 8.2 8.6) (end -8.2 8.6) (layer F.CrtYd) (width 0.15))
(fp_line (start 8.2 -8.6) (end 8.2 8.6) (layer F.CrtYd) (width 0.15))
(fp_line (start -8.2 -8.6) (end 8.2 -8.6) (layer F.CrtYd) (width 0.15))
(fp_line (start -7.94 8.35) (end -7.94 -8.35) (layer F.SilkS) (width 0.15))
(fp_line (start 7.94 8.35) (end -7.94 8.35) (layer F.SilkS) (width 0.15))
(fp_line (start 7.94 -8.35) (end 7.94 8.35) (layer F.SilkS) (width 0.15))
(fp_line (start -7.94 -8.35) (end 7.94 -8.35) (layer F.SilkS) (width 0.15))
(pad 10 thru_hole circle (at 6.57 -3.43 180) (size 2.7 2.7) (drill 2.3) (layers *.Cu *.Mask)
(net 20 SHIELD))
(pad 10 thru_hole circle (at -6.57 -3.43 180) (size 2.7 2.7) (drill 2.3) (layers *.Cu *.Mask)
(net 20 SHIELD))
(pad 9 thru_hole circle (at -4 -6.93 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 19 "Net-(J1-PadA2)"))
(pad 8 thru_hole circle (at -2 -6.93 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 18 "Net-(J1-PadA3)"))
(pad 7 thru_hole circle (at 0 -6.93 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 6 GND))
(pad 6 thru_hole circle (at 2 -6.93 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 7 "Net-(J1-PadB11)"))
(pad 5 thru_hole circle (at 4 -6.93 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 10 "Net-(J1-PadB10)"))
(pad 3 thru_hole circle (at 1 -5.43 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 17 "Net-(J1-PadA6)"))
(pad 4 thru_hole circle (at 3.5 -5.43 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 6 GND))
(pad 2 thru_hole circle (at -1 -5.43 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 14 "Net-(J1-PadA7)"))
(pad 1 thru_hole rect (at -3.5 -5.43 180) (size 1.208 1.208) (drill 0.7) (layers *.Cu *.Mask)
(net 21 /VBUS_SINK))
(model ${KIPRJMOD}/library/Connector_USB.3dshapes/USB_A_Receptacle_Amphenol_10117835.stp
(offset (xyz 0 7.6 6.6))
(scale (xyz 1 1 1))
(rotate (xyz 180 0 0))
)
)
(module Connector_USB-Custom:USB_A_Plug_Amphenol_GSB3164x1CEU (layer F.Cu) (tedit 5FD62F00) (tstamp 5FD6C60D)
(at 152.4 111.506)
(path /5FDACC9B)
(attr smd)
(fp_text reference J3 (at 0 1.85) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value USB3_A_Plug (at 0 -5.08) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -5.85 2.55) (end 5.85 2.55) (layer F.SilkS) (width 0.12))
(fp_line (start -5 -1.4) (end 5 -1.4) (layer F.SilkS) (width 0.12))
(fp_line (start 5.85 2.55) (end 5.85 2.05) (layer F.SilkS) (width 0.12))
(fp_line (start -5.85 2.55) (end -5.85 2.05) (layer F.SilkS) (width 0.12))
(fp_line (start -6.5 -4.25) (end -6.5 2.55) (layer F.CrtYd) (width 0.12))
(fp_line (start 6.5 -4.25) (end -6.5 -4.25) (layer F.CrtYd) (width 0.12))
(fp_line (start 6.5 2.55) (end 6.5 -4.25) (layer F.CrtYd) (width 0.12))
(fp_line (start -6.5 2.55) (end 6.5 2.55) (layer F.CrtYd) (width 0.12))
(pad 2 smd rect (at 1 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(J1-PadA7)"))
(pad 1 smd rect (at 3 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 2 VBUS))
(pad 8 smd rect (at 2 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 18 "Net-(J1-PadA3)"))
(pad 9 smd rect (at 4 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 19 "Net-(J1-PadA2)"))
(pad 5 smd rect (at -4 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(J1-PadB10)"))
(pad 4 smd rect (at -3 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad 6 smd rect (at -2 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(J1-PadB11)"))
(pad 3 smd rect (at -1 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 17 "Net-(J1-PadA6)"))
(pad 7 smd rect (at 0 -2.85) (size 0.8 2.78) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad 10 thru_hole oval (at 5.85 0) (size 1.3 3.3) (drill oval 0.9 2.9) (layers *.Cu *.Mask)
(net 20 SHIELD))
(pad 10 thru_hole oval (at -5.85 0) (size 1.3 3.3) (drill oval 0.9 2.9) (layers *.Cu *.Mask)
(net 20 SHIELD))
(model ${KIPRJMOD}/library/Connector_USB.3dshapes/USB_A_Plug_Amphenol_GSB3164x1CEU.stp
(offset (xyz 0.1 -8.1 1.5))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)
(module Connector_USB-Custom:USB_C_Receptacle_Amphenol_10137065 (layer F.Cu) (tedit 5FD6293B) (tstamp 5FD6C516)
(at 134.62 102.87 270)
(path /5F157FC7)
(attr smd)
(fp_text reference J2 (at 0 1 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value USB_C_PowerSink (at 0 -7 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 4.95 -3.8) (end -4.95 -3.8) (layer Cmts.User) (width 0.05))
(fp_line (start 4.95 -4.6) (end -4.95 -4.6) (layer Cmts.User) (width 0.05))
(fp_line (start 4.95 -5.35) (end -4.95 -5.35) (layer Cmts.User) (width 0.05))
(fp_line (start -3.9 -3.5) (end 3.9 -3.5) (layer F.SilkS) (width 0.12))
(fp_line (start 3.9 -3.5) (end 3.9 1.75) (layer F.SilkS) (width 0.12))
(fp_line (start 3.9 1.75) (end -3.9 1.75) (layer F.SilkS) (width 0.12))
(fp_line (start -3.9 1.75) (end -3.9 -3.5) (layer F.SilkS) (width 0.12))
(fp_line (start -4.7 -3.1) (end 4.4 -3.1) (layer Cmts.User) (width 0.12))
(fp_line (start -3.6 -5.4) (end -3.6 2.4) (layer Cmts.User) (width 0.12))
(fp_line (start 4.95 -6.25) (end -4.95 -6.25) (layer Cmts.User) (width 0.05))
(pad B9 smd rect (at -1.375 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 21 /VBUS_SINK))
(pad B4 smd rect (at 1.125 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 21 /VBUS_SINK))
(pad B8 smd rect (at -0.875 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(J1-PadB8)"))
(pad B2 smd rect (at 2.125 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(J1-PadB2)"))
(pad B6 smd rect (at 0.125 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(J1-PadB6)"))
(pad B12 smd rect (at -2.875 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad B1 smd rect (at 2.625 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad B11 smd rect (at -2.375 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(J1-PadB11)"))
(pad B7 smd rect (at -0.375 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 8 "Net-(J1-PadB7)"))
(pad B5 smd rect (at 0.625 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(J1-PadB5)"))
(pad B10 smd rect (at -1.875 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(J1-PadB10)"))
(pad B3 smd rect (at 1.625 -4.2 270) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(J1-PadB3)"))
(pad A10 smd rect (at 1.875 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 22 "Net-(J2-PadA10)"))
(pad A11 smd rect (at 2.375 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 13 "Net-(J1-PadA11)"))
(pad A7 smd rect (at 0.375 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(J1-PadA7)"))
(pad A8 smd rect (at 0.875 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 15 "Net-(J1-PadA8)"))
(pad A12 smd rect (at 2.875 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad A9 smd rect (at 1.375 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 21 /VBUS_SINK))
(pad A4 smd rect (at -1.125 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 21 /VBUS_SINK))
(pad A5 smd rect (at -0.625 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 16 "Net-(J1-PadA5)"))
(pad A6 smd rect (at -0.125 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 17 "Net-(J1-PadA6)"))
(pad A3 smd rect (at -1.625 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 18 "Net-(J1-PadA3)"))
(pad A2 smd rect (at -2.125 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 19 "Net-(J1-PadA2)"))
(pad A1 smd rect (at -2.625 -5.8 270) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad S1 thru_hole roundrect (at 4 -4.5 270) (size 1.65 1.1) (drill oval 1.25 0.7 (offset 0.1 0)) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at -4.32 0 270) (size 1.3 2.6) (drill oval 0.7 2) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at 4.32 0 270) (size 1.3 2.6) (drill oval 0.7 2) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad "" np_thru_hole circle (at -3.6 -3.1 270) (size 0.6 0.6) (drill 0.6) (layers *.Cu *.Mask))
(pad "" np_thru_hole roundrect (at 3.6 -3.165 270) (size 0.85 0.5) (drill oval 0.85 0.5) (layers *.Cu *.Mask) (roundrect_rratio 0.5))
(pad S1 thru_hole roundrect (at -4.33 -5.1 270) (size 1.3 2.3) (drill oval 0.7 1.9) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at 4.32 -5.1 270) (size 1.3 2.3) (drill oval 0.7 1.9) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at -4 -4.5 270) (size 1.65 1.1) (drill oval 1.25 0.7 (offset -0.1 0)) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(model ${KIPRJMOD}/library/Connector_USB.3dshapes/USB_C_Receptacle_Amphenol_10137065.stp
(offset (xyz -4.15 -2 -0.6))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module Connector_USB-Custom:USB_C_Receptacle_Amphenol_10137065 (layer F.Cu) (tedit 5FD6293B) (tstamp 5FD6C59D)
(at 170.18 102.87 90)
(path /5F1528AF)
(attr smd)
(fp_text reference J1 (at 0 1 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value USB_C_PowerSource (at 0 -7 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 4.95 -3.8) (end -4.95 -3.8) (layer Cmts.User) (width 0.05))
(fp_line (start 4.95 -4.6) (end -4.95 -4.6) (layer Cmts.User) (width 0.05))
(fp_line (start 4.95 -5.35) (end -4.95 -5.35) (layer Cmts.User) (width 0.05))
(fp_line (start -3.9 -3.5) (end 3.9 -3.5) (layer F.SilkS) (width 0.12))
(fp_line (start 3.9 -3.5) (end 3.9 1.75) (layer F.SilkS) (width 0.12))
(fp_line (start 3.9 1.75) (end -3.9 1.75) (layer F.SilkS) (width 0.12))
(fp_line (start -3.9 1.75) (end -3.9 -3.5) (layer F.SilkS) (width 0.12))
(fp_line (start -4.7 -3.1) (end 4.4 -3.1) (layer Cmts.User) (width 0.12))
(fp_line (start -3.6 -5.4) (end -3.6 2.4) (layer Cmts.User) (width 0.12))
(fp_line (start 4.95 -6.25) (end -4.95 -6.25) (layer Cmts.User) (width 0.05))
(pad B9 smd rect (at -1.375 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 2 VBUS))
(pad B4 smd rect (at 1.125 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 2 VBUS))
(pad B8 smd rect (at -0.875 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 3 "Net-(J1-PadB8)"))
(pad B2 smd rect (at 2.125 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 4 "Net-(J1-PadB2)"))
(pad B6 smd rect (at 0.125 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(J1-PadB6)"))
(pad B12 smd rect (at -2.875 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad B1 smd rect (at 2.625 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad B11 smd rect (at -2.375 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(J1-PadB11)"))
(pad B7 smd rect (at -0.375 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 8 "Net-(J1-PadB7)"))
(pad B5 smd rect (at 0.625 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(J1-PadB5)"))
(pad B10 smd rect (at -1.875 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 10 "Net-(J1-PadB10)"))
(pad B3 smd rect (at 1.625 -4.2 90) (size 0.275 0.8) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(J1-PadB3)"))
(pad A10 smd rect (at 1.875 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 12 "Net-(J1-PadA10)"))
(pad A11 smd rect (at 2.375 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 13 "Net-(J1-PadA11)"))
(pad A7 smd rect (at 0.375 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 14 "Net-(J1-PadA7)"))
(pad A8 smd rect (at 0.875 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 15 "Net-(J1-PadA8)"))
(pad A12 smd rect (at 2.875 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad A9 smd rect (at 1.375 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 2 VBUS))
(pad A4 smd rect (at -1.125 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 2 VBUS))
(pad A5 smd rect (at -0.625 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 16 "Net-(J1-PadA5)"))
(pad A6 smd rect (at -0.125 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 17 "Net-(J1-PadA6)"))
(pad A3 smd rect (at -1.625 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 18 "Net-(J1-PadA3)"))
(pad A2 smd rect (at -2.125 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 19 "Net-(J1-PadA2)"))
(pad A1 smd rect (at -2.625 -5.8 90) (size 0.275 0.9) (layers F.Cu F.Paste F.Mask)
(net 6 GND))
(pad S1 thru_hole roundrect (at 4 -4.5 90) (size 1.65 1.1) (drill oval 1.25 0.7 (offset 0.1 0)) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at -4.32 0 90) (size 1.3 2.6) (drill oval 0.7 2) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at 4.32 0 90) (size 1.3 2.6) (drill oval 0.7 2) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad "" np_thru_hole circle (at -3.6 -3.1 90) (size 0.6 0.6) (drill 0.6) (layers *.Cu *.Mask))
(pad "" np_thru_hole roundrect (at 3.6 -3.165 90) (size 0.85 0.5) (drill oval 0.85 0.5) (layers *.Cu *.Mask) (roundrect_rratio 0.5))
(pad S1 thru_hole roundrect (at -4.33 -5.1 90) (size 1.3 2.3) (drill oval 0.7 1.9) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at 4.32 -5.1 90) (size 1.3 2.3) (drill oval 0.7 1.9) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(pad S1 thru_hole roundrect (at -4 -4.5 90) (size 1.65 1.1) (drill oval 1.25 0.7 (offset -0.1 0)) (layers *.Cu *.Mask) (roundrect_rratio 0.5)
(net 20 SHIELD))
(model ${KIPRJMOD}/library/Connector_USB.3dshapes/USB_C_Receptacle_Amphenol_10137065.stp
(offset (xyz -4.15 -2 -0.6))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 5FD6C7FF)
(at 161.925 101.1175 270)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5F18B248)
(attr smd)
(fp_text reference C1 (at -0.0255 -1.143 90) (layer F.SilkS)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(fp_text value 2000pF (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 "Net-(C1-Pad2)"))
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 2 VBUS))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(gr_arc (start 144.907 92.075) (end 144.907 91.186) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 159.893 92.075) (end 160.782 92.075) (angle -90) (layer Edge.Cuts) (width 0.05))
(gr_arc (start 158.496 113.157) (end 158.496 114.046) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C87A))
(gr_arc (start 146.304 113.157) (end 145.415 113.157) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C8C2))
(gr_arc (start 171.069 107.315) (end 171.069 108.204) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C66D))
(gr_arc (start 171.069 98.425) (end 171.958 98.425) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7A8))
(gr_arc (start 133.731 107.315) (end 132.842 107.315) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C8C8))
(gr_arc (start 133.731 98.425) (end 133.731 97.536) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7E7))
(gr_arc (start 160.401 109.22) (end 160.401 108.204) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C8C5))
(gr_arc (start 144.399 109.22) (end 145.415 109.22) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C63A))
(gr_arc (start 161.798 96.52) (end 160.782 96.52) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C880))
(gr_arc (start 143.002 96.52) (end 143.002 97.536) (angle -90) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C4E7))
(gr_line (start 143.002 97.536) (end 133.731 97.536) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7EA))
(gr_line (start 144.018 92.075) (end 144.018 96.52) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6CAB6))
(gr_line (start 159.893 91.186) (end 144.907 91.186) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C87D))
(gr_line (start 160.782 96.52) (end 160.782 92.075) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7E1))
(gr_line (start 171.069 97.536) (end 161.798 97.536) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C742))
(gr_line (start 171.958 107.315) (end 171.958 98.425) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C775))
(gr_line (start 160.401 108.204) (end 171.069 108.204) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7DE))
(gr_line (start 159.385 113.157) (end 159.385 109.22) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7E4))
(gr_line (start 146.304 114.046) (end 158.496 114.046) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C6F4))
(gr_line (start 145.415 109.22) (end 145.415 113.157) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7ED))
(gr_line (start 133.731 108.204) (end 144.399 108.204) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C673))
(gr_line (start 132.842 98.425) (end 132.842 107.315) (layer Edge.Cuts) (width 0.05) (tstamp 5FD6C7AB))
)

View File

@ -1,29 +1,10 @@
update=Mon 07 Dec 2020 05:06:05 PM
update=Mon 14 Dec 2020 12:16:58 AM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
@ -41,3 +22,238 @@ NetFmtName=
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.1524
MinViaDiameter=0.381
MinViaDrill=0.254
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.1524
ViaDiameter1=0.381
ViaDrill1=0.254
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.12
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.1524
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.051
SolderMaskMinWidth=0.25
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.16
TrackWidth=0.1524
ViaDiameter=0.381
ViaDrill=0.254
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[pcbnew/Netclasses/1]
Name=Power
Clearance=0.21
TrackWidth=0.2032
ViaDiameter=0.508
ViaDrill=0.3302
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

View File

@ -420,7 +420,7 @@ U 1 1 5FDACC9B
P 5500 1850
F 0 "J3" H 5557 2567 50 0000 C CNN
F 1 "USB3_A_Plug" H 5557 2476 50 0000 C CNN
F 2 "" H 5650 1950 50 0001 C CNN
F 2 "Connector_USB-Custom:USB_A_Plug_Amphenol_GSB3164x1CEU" H 5650 1950 50 0001 C CNN
F 3 "~" H 5650 1950 50 0001 C CNN
1 5500 1850
1 0 0 -1